首页-老街华纳公司-MD62333
  • 代做奥鹏作业
  • 专业代写作业

您当前所在位置:首页协会动态协会动态

19秋福师《EDA技术》在线作业二答案

作者:daixie119  来源:本站  发表时间:2019-10-17  点击:434

19秋福师奥鹏在线作业答案5元一门,有题库,保证满分。需要代做的联系客服QQ1909203429

19秋福师《EDA技术》在线作业二答案

1.综合有哪几种形式()。

A.RTL

B.逻辑综合

C.将逻辑门表示转换到版图表示

答案:-


2.基于FPGA/CPLD器件的数字系统设计流程包括哪些阶段()。

A.设计输入

B.综合

C.布局布线

D.仿真和编程

答案:-


3.目前的EDA技术主要特点有哪些()。

A.使用普及

B.应用广泛

C.工具多样

D.软件功能强大

答案:-


4.ASIC电路特点描述正确的是()。

A.周期长

B.投入高

C.功耗低

D.省面积

答案:-


5.下面哪些是专业提供第三方EDA软件工具的公司()。

A.Cadence

B.Mentor

C.Synopsys

D.Synplicity

答案:-


6.IP核一般分为哪几种()。

A.硬核

B.固核

C.软核

D.以上全不对

答案:-


7.衡量仿真器性能的重要指标有哪些()。

A.仿真速度

B.仿真的准确性

C.仿真的易用性

答案:-


8.按照处理的HDL语言类型,仿真器可以分为()。

A.Verilog HDL仿真器

B.VHDL HDL仿真器

C.混合仿真器

答案:-


9.SPLD器件分为几类()。

A.PROM

B.PLA

C.PAL

D.GAL

答案:-


10.常用的综合工具有哪些()。

A.FPGA Express

B.FPGA compiler

C.Synplify Pro

答案:-


1.布局布线为将综合生成的电路逻辑网表映射到具体的目标器件中实现,并产生最终的可下载文件的过程。

A.错误

B.正确

答案:-


2.仿真器按对设计语言的不同处理方式分为两类:编译型仿真器和解释型仿真器。

A.错误

B.正确

答案:-


3.如果只需要在上电和系统错误时进行复位操作,采用异步复位方式比同步复位方式好。

A.错误

B.正确

答案:-


4.Synplify是一种FPGA/CPLD的逻辑综合工具。

A.错误

B.正确

答案:-


5.PLD器件内部主要由各种逻辑功能部件和可编程开关构成。

A.错误

B.正确

答案:-


6.不考虑信号时延等因素的仿真称为功能仿真。

A.错误

B.正确

答案:-


7.Verilog HDL中的常量主要有:整数,实数和字符串

A.错误

B.正确

答案:-


8.CPLD和FPGA都属于高密度可编程逻辑器件。

A.错误

B.正确

答案:-


9.Verilog HDL中assign为持续赋值语句。

A.错误

B.正确

答案:-


10.综合指的是将较高级抽象层次的设计描述自动转化为较低层次描述的过程。

A.错误

B.正确

答案:-


11.有限状态机非常适合于数字系统的控制模块。

A.错误

B.正确

答案:-


12.ISP和专用的编程器是FPGA常用的两种编程方式。

A.错误

B.正确

答案:-


13.IP核中的软核与生产工艺无关,不涉及物理实现,为后续设计留有很大空间。

A.错误

B.正确

答案:-


14.IP核中的硬核可靠性高,能确保性能,能够很快投入使用。

A.错误

B.正确

答案:-


15.CPLD是Complex Programmable Logic Device,复杂可编程逻辑器件的缩写。

A.错误

B.正确

答案:-


16.解释型仿真器速度慢一些,但可以随时修改仿真环境和仿真条件。

A.错误

B.正确

答案:-


17.JTAG是Joint Test Action Group, 联合测试行动组的缩写。

A.错误

B.正确

答案:-


18.硬件综合器和软件程序编译器没有本质区别。

A.错误

B.正确

答案:-


19.Verilog HDL中实数型和字符串型常量是可以综合的。

A.错误

B.正确

答案:-


20.SOC是指把一个完整的系统集成在一个芯片上。

A.错误

B.正确

答案:-


21.用状态机进行设计具有速度快、结构简单、可靠性高等优点。

A.错误

B.正确

答案:-


22.有限状态机的复位分为两种:同步复位和异步复位。

A.错误

B.正确

答案:-


23.编译型仿真器的仿真速度快,但需要预处理,不能即时修改。

A.错误

B.正确

答案:-


24.对设计而言,采用的描述级别越高,设计越容易。

A.错误

B.正确

答案:-


25.IP是Intellectual Property的缩写。

A.错误

B.正确

答案:-


26.仿真是EDA的精髓所在。

A.错误

B.正确

答案:-


27.ASIC是专用集成电路的缩写。

A.错误

B.正确

答案:-


28.数据流描述方式多用于组合逻辑电路。

A.错误

B.正确

答案:-


29.PLD是Programmable Logic Device,可编程逻辑器件的缩写。

A.错误

B.正确

答案:-


30.EDA是Electronic  Design Automation,电子设计自动化的缩写。

A.错误

B.正确

答案:-


31.FPGA是Field Programmable Gate Array,现场可编程门阵列的缩写。

A.错误

B.正确

答案:-


32.PLD按照可编程的次数分为两类:一次性编程器件和可多次编程器件。

A.错误

B.正确

答案:-


33.Verilog HDL不支持条件语句。

A.错误

B.正确

答案:-


34.CAE是Computer Aided Engineering,计算机辅助工程的缩写。

A.错误

B.正确

答案:-


35.有限状态机可以认为是组合逻辑和寄存器逻辑的特殊组合。

A.错误

B.正确

答案:-


36.GAL是Generic  Array Logic,通用阵列逻辑的缩写。

A.错误

B.正确

答案:-


37.行为描述就是对设计实体的数学模型的描述,其抽象程度远高于结构描述。

A.错误

B.正确

答案:-


38.集成度是PLD器件的一项重要指标。

A.错误

B.正确

答案:-


39.目前常用的硬件描述语言为:Verilog HDL和 VHDL。

A.错误

B.正确

答案:-


40.把适配后生成的编程文件装入到PLD器件中的过程称为下载。

A.错误

B.正确

答案:-

Copyright Right © 2015 www.daixie168.com Powered By 专业学习网 QQ:3148628365

地址:江苏省    电话:    传真:    邮编:362000
访问量:19585793
  • QQ咨询

  • 在线咨询
  • 点击这里给我发消息
  • 点击这里给我发消息
  • 点击这里给我发消息